

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)
文檔簡介
1、<p><b> 一、課程設(shè)計目的</b></p><p> 1.學(xué)習(xí)操作數(shù)字電路設(shè)計實驗開發(fā)系統(tǒng),掌握數(shù)碼管顯示模塊的工作原理及應(yīng)用。</p><p> 2.掌握組合邏輯電路、時序邏輯電路的設(shè)計方法。</p><p> 3.學(xué)習(xí)掌握可編程器件設(shè)計的全過程。</p><p> 二、課程設(shè)計內(nèi)容和要求&
2、lt;/p><p><b> 2.1、設(shè)計內(nèi)容:</b></p><p> 1.學(xué)習(xí)掌握按鍵控制模塊、數(shù)碼管顯示模塊的工作原理及應(yīng)用。</p><p> 2. 熟練掌握VHDL編程語言,編寫按鍵控制模塊的控制邏輯。</p><p> 3. 仿真所編寫的程序,模擬驗證所編寫的模塊功能。</p><p
3、> 4. 下載程序到芯片中,硬件驗證所設(shè)置的功能,能夠?qū)崿F(xiàn)八進(jìn)制數(shù)字的顯示。</p><p><b> 2.2、設(shè)計要求</b></p><p> 1.本課程設(shè)計說明書。</p><p> 2.VHDL源程序及內(nèi)部原理圖。</p><p> 3.該設(shè)計可以在實驗箱上正常工作并演示。</p>
4、<p> 三、設(shè)計方案及實現(xiàn)情況</p><p><b> 3.1、設(shè)計思路</b></p><p> 對于這個題目,我的設(shè)計思路是利用實驗箱上的按鍵開關(guān)控制計數(shù)實現(xiàn)一個四位的八進(jìn)制計數(shù)器接到數(shù)碼管顯示,每一位都可以加一或減一計數(shù),低位的數(shù)若計到“7”后就會向高位產(chǎn)生進(jìn)位,若減到“0”后就會向高位產(chǎn)生借位。進(jìn)位和借位的信息通過接到二極管亮滅顯示。本設(shè)計
5、還應(yīng)包含一個復(fù)位鍵,用以實現(xiàn)重新計數(shù)。用兩個模塊實現(xiàn)這個電路,分別是時序控制按鍵計數(shù)模塊和數(shù)碼管顯示模塊。</p><p> 3.2、工作原理及框圖</p><p> 第一個模塊是時序控制按鍵計數(shù)模塊。</p><p> Bcdx是八進(jìn)制數(shù)要顯示的數(shù)字,在4個加一控制按鍵(Addx)和4個減一控制按鍵(Subx)的控制下分別進(jìn)行加一和減一計數(shù),同時低位數(shù)字若加
6、到“7”就向次高位產(chǎn)生進(jìn)位(Coutx),若減到“0”就向次高位產(chǎn)生借位(Soutx)。(x對應(yīng)于1,2,3,4。1是個位,2是十位,3是百位,4是千位。)</p><p> 下圖是該模塊的工作原理圖。</p><p> 圖1 模塊一原理圖</p><p> 第二個模塊是時鐘掃描數(shù)碼管顯示模塊。 </p><p> 8段數(shù)
7、碼管是由 8個發(fā)光二極管組成。這 8個發(fā)光二極管有一個公共端,必須接GND(共陰極數(shù)碼管)或者接 VCC(共陽極數(shù)碼管)。對8個二極管的另一端進(jìn)行控制,相應(yīng)的就能控制他們的亮暗。不同的亮暗組合就產(chǎn)生了數(shù)字 0-F的顯示效果。若希望數(shù)碼管顯示某個數(shù)字,只要給數(shù)碼管的 8個段選接口送相應(yīng)的譯碼信號即可。</p><p> 對于一組數(shù)碼管動態(tài)掃描顯示需要由兩組信號來控制:一組是字段輸出口輸出的字形代碼,用來控制顯示的
8、字形,稱為段碼;另一組是位輸出口輸出的控制信號,用來選擇第幾位數(shù)碼管工作,稱為位碼。 若要各位數(shù)碼管能夠顯示出與本位相應(yīng)的字符,就必須采用掃描顯示方式。即在某一時刻,只讓某一位的位選線處于導(dǎo)通狀態(tài),而其它各位的位選線處于關(guān)閉狀態(tài)。同時,段線上輸出相應(yīng)位要顯示字符的字型碼。這樣在同一時刻,只有選通的那一位顯示出字符,而其它各位則是熄滅的,如此循環(huán)下去,就可以使各位數(shù)碼管顯示出將要顯示的字符。 雖然這些字符是在不同時刻出現(xiàn)的
9、,而且同一時刻,只有一位顯示,其它各位熄滅,但由于數(shù)碼管具有余輝特性和人眼有視覺暫留現(xiàn)象,只要每位數(shù)碼管顯示間隔足夠短,給人眼的視覺印象就會是連續(xù)穩(wěn)定地顯示。</p><p> 下圖是兩個共陰數(shù)碼管 SM4205的段選和位選與 CPLD的 I/O連接。</p><p> 圖2 模塊二原理圖</p><p> 圖3 總體電路原理圖</p>&l
10、t;p> 3.3 各模塊功能描述</p><p> ?。?)時序控制按鍵計數(shù)模塊</p><p> 圖4 時序控制按鍵計數(shù)模塊圖</p><p><b> 代碼如下:</b></p><p> library ieee;</p><p> use ieee.std_logic_1
11、164.all;</p><p> use ieee.std_logic_unsigned.all;</p><p> entity anjian is</p><p> port(add1,sub1,add2,sub2,add3,sub3,add4,sub4:in std_logic;</p><p> clk,reset:in s
12、td_logic;</p><p> sout1,cout1,sout2,cout2,sout3,cout3,sout4,cout4:out std_logic;</p><p> bcd1,bcd2,bcd3,bcd4:out std_logic_vector(3 downto 0));</p><p> end entity anjian;</p&g
13、t;<p> architecture rt1 of anjian is</p><p> signal bcd1n:std_logic_vector(3 downto 0);</p><p> signal bcd2n:std_logic_vector(3 downto 0);</p><p> signal bcd3n:std_logic_
14、vector(3 downto 0);</p><p> signal bcd4n:std_logic_vector(3 downto 0);</p><p> signal cout1n:std_logic;</p><p> signal sout1n:std_logic;</p><p> signal cout2n:std_l
15、ogic;</p><p> signal sout2n:std_logic;</p><p> signal sout3n:std_logic;</p><p> signal sout3n:std_logic;</p><p> signal cout4n:std_logic;</p><p> sign
16、al sout4n:std_logic;</p><p> signal add1n:std_logic;</p><p> signal sub1n:std_logic;</p><p> signal add2n:std_logic;</p><p> signal sub2n:std_logic;</p><
17、p> signal add3n:std_logic;</p><p> signal sub3n:std_logic;</p><p> signal add4n:std_logic;</p><p> signal sub4n:std_logic;</p><p> signal cout1nn:std_logic;<
18、/p><p> signal sout1nn:std_logic;</p><p> signal cout2nn:std_logic;</p><p> signal sout2nn:std_logic;</p><p> signal cout3nn:std_logic;</p><p> signal so
19、ut3nn:std_logic;</p><p> signal cout4nn:std_logic;</p><p> signal sout4nn:std_logic;</p><p> signal resetn:std_logic;</p><p><b> begin</b></p>&l
20、t;p> process(clk)</p><p><b> begin</b></p><p> if(clk'event and clk='1')then </p><p> add1n<=add1; ——將4個輸入加(減)信號賦給新的信號</p><p&
21、gt; sub1n<=sub1;</p><p> add2n<=add2;</p><p> sub2n<=sub2;</p><p> add3n<=add3;</p><p> sub3n<=sub3;</p><p> add4n<=add4;</p>
22、<p> sub4n<=sub4;</p><p> cout1nn<=cout1n; ——將4個進(jìn)(借)位信號賦給新的信號</p><p> sout1nn<=sout1n;</p><p> cout2nn<=cout2n;</p><p> sout2nn<=sout2n;<
23、/p><p> cout3nn<=cout3n;</p><p> sout3nn<=sout3n;</p><p> cout4nn<=cout4n;</p><p> sout4nn<=sout4n;</p><p> resetn<=reset;</p><
24、p><b> end if;</b></p><p> end process;</p><p> process(clk,add1,add1n,sub1,sub1n) ——個位計數(shù)</p><p><b> begin</b></p><p> if(re
25、set='1' and resetn='0')then</p><p> bcd1n<="0000"; ——復(fù)位,下同</p><p> elsif(clk'event and clk='1')then</p><p> if (add1=
26、'1' and add1n='0') then ——按鍵上升沿跳變</p><p> if (bcd1n="0111") then</p><p> bcd1n<="0000";</p><p> cout1n<='1';</p><
27、p><b> else</b></p><p> bcd1n<=bcd1n+1 ; ——加一計數(shù)</p><p> cout1n<='0';</p><p><b> end if;</b></p><p> elsif (sub
28、1='1' and sub1n='0')then</p><p> if (bcd1n="0000") then</p><p> bcd1n<="0111";</p><p> sout1n<='1';</p><p><b>
29、; else</b></p><p> bcd1n<=bcd1n-1; ——減一計數(shù)</p><p> sout1n<='0';</p><p><b> end if;</b></p><p><b> end if;</b&
30、gt;</p><p><b> end if;</b></p><p> end process;</p><p> process(clk,add2,add2n,sub2,sub2n) ——十位計數(shù)</p><p><b> begin</b></p&g
31、t;<p> if(reset='1' and resetn='0')then</p><p> bcd2n<="0000";</p><p> elsif(clk'event and clk='1')then</p><p> if(cout1n='
32、1' and cout1nn='0')then</p><p> if(bcd2n="0111")then</p><p> bcd2n<="0000";</p><p> cout2n<='1';</p><p><b> else
33、</b></p><p> bcd2n<=bcd2n+1; ——個位進(jìn)位到十位</p><p> cout2n<='0';</p><p><b> end if;</b></p><p> elsif(sout1n='1' and sout
34、1nn='0')then</p><p> if(bcd2n="0000")then</p><p> bcd2n<="0111";</p><p> sout2n<='1';</p><p><b> else</b></
35、p><p> bcd2n<=bcd2n-1; ——個位像十位借位</p><p> sout2n<='0';</p><p><b> end if;</b></p><p><b> end if;</b></p><p>
36、 if(add2n='1' and add2n='0')then</p><p> if(bcd2n="0111") then</p><p> bcd2n<="0000";</p><p> cout2n<='1';</p><p>&
37、lt;b> else</b></p><p> bcd2n<=bcd2n+1; ——加一計數(shù)</p><p> cout2n<='0';</p><p><b> end if;</b></p><p> elsif(sub2='1
38、' and sub2n='0')then</p><p> if(bcd2n="0000")then</p><p> bcd2n<="0111";</p><p> sout2n<='1';</p><p><b> else<
39、;/b></p><p> bcd2n<=bcd2n-1; ——減一計數(shù)</p><p> sout2n<='0';</p><p><b> end if;</b></p><p><b> end if;</b></p&g
40、t;<p><b> end if;</b></p><p> end process;</p><p> process(clk,add3,add3n,sub3,sub3n) ——百位計數(shù)</p><p><b> begin</b></p><p>
41、 if(reset='1' and resetn='0')then</p><p> bcd3n<="0000";</p><p> elsif(clk'event and clk='1')then</p><p> if(cout2n='1' and co
42、ut2nn='0')then</p><p> if(bcd3n="0111")then</p><p> bcd3n<="0000";</p><p> cout3n<='1';</p><p><b> else</b><
43、;/p><p> bcd3n<=bcd3n+1; ——十位向百位進(jìn)位</p><p> cout3n<='0';</p><p><b> end if;</b></p><p> elsif(sout2n='1' and sout2nn='0'
44、;)then</p><p> if(bcd3n="0000")then</p><p> bcd3n<="0111";</p><p> sout3n<='1';</p><p><b> else</b></p><p&g
45、t; bcd3n<=bcd3n-1; ——十位向百位借位</p><p> sout3n<='0';</p><p><b> end if;</b></p><p><b> end if;</b></p><p> if(add3='
46、1' and add3n='0')then</p><p> if(bcd3n="0111") then</p><p> bcd3n<="0000";</p><p> cout3n<='1';</p><p><b> else&
47、lt;/b></p><p> bcd3n<=bcd3n+1; ——加一計數(shù)</p><p> cout3n<='0';</p><p><b> end if;</b></p><p> elsif(sub3='1' and sub3n
48、='0')then</p><p> if(bcd3n="0000")then</p><p> bcd3n<="0111";</p><p> sout3n<='1';</p><p><b> else</b></p&g
49、t;<p> bcd3n<=bcd3n-1; ——減一計數(shù)</p><p> sout3n<='0';</p><p><b> end if;</b></p><p><b> end if;</b></p><p>&
50、lt;b> end if;</b></p><p> end process;</p><p> process(clk,add4,add4n,sub4,sub4n) ——千位計數(shù)</p><p><b> begin</b></p><p> if(reset=
51、39;1' and resetn='0')then</p><p> bcd4n<="0000";</p><p> elsif(clk'event and clk='1')then</p><p> if(cout3n='1' and cout3nn='0&
52、#39;)then</p><p> if(bcd4n="0111")then</p><p> bcd4n<="0000";</p><p> cout4n<='1';</p><p><b> else</b></p><
53、p> bcd4n<=bcd4n+1; ——百位向千位進(jìn)位</p><p> cout4n<='0';</p><p><b> end if;</b></p><p> elsif(sout3n='1' and sout3nn='0')then</p&
54、gt;<p> if(bcd4n="0000")then</p><p> bcd4n<="0111";</p><p> sout4n<='1';</p><p><b> else</b></p><p> bcd4n<
55、=bcd4n-1; ——百位向千位借位 </p><p> sout4n<='0';</p><p><b> end if;</b></p><p><b> end if;</b></p><p> if(add4='1' and a
56、dd4n='0')then</p><p> if(bcd4n="0111") then</p><p> bcd4n<="0000";</p><p> cout4n<='1';</p><p><b> else</b><
57、;/p><p> bcd4n<=bcd4n+1; ——加一計數(shù)</p><p> cout4n<='0';</p><p><b> end if;</b></p><p> elsif(sub4='1' and sub4n='0'
58、)then</p><p> if(bcd4n="0000")then</p><p> bcd4n<="0111";</p><p> sout4n<='1';</p><p><b> else</b></p><p>
59、; bcd4n<=bcd4n-1; ——減一計數(shù)</p><p> sout4n<='0';</p><p><b> end if;</b></p><p><b> end if;</b></p><p><b> end
60、 if;</b></p><p> end process;</p><p> bcd1<=bcd1n;</p><p> bcd2<=bcd2n;</p><p> bcd3<=bcd3n;</p><p> bcd4<=bcd4n;</p><p&
61、gt; cout1<=cout1n;</p><p> cout2<=cout2n;</p><p> cout3<=cout3n;</p><p> cout4<=cout4n;</p><p> sout1<=sout1n;</p><p> sout2<=sout2
62、n;</p><p> sout3<=sout3n;</p><p> sout4<=sout4n;</p><p> end architecture rt1;</p><p> (2)時鐘掃描數(shù)碼管顯示模塊</p><p> 圖5 時鐘掃描數(shù)碼管顯示模塊圖</p><
63、p><b> 代碼如下:</b></p><p> library ieee;</p><p> use ieee.std_logic_1164.all;</p><p> use ieee.std_logic_unsigned.all;</p><p> use ieee.std_logic_arith
64、.all;</p><p> entity xuanze is</p><p> port(bcd1,bcd2,bcd3,bcd4:in std_logic_vector(3 downto 0);</p><p> q:out std_logic_vector(7 downto 0);</p><p> sel:out std_log
65、ic_vector(1 downto 0);</p><p> clk:in std_logic);</p><p> end xuanze;</p><p> architecture a of xuanze is</p><p> signal x:std_logic_vector(3 downto 0);</p>
66、<p> signal y:std_logic_vector(3 downto 0);</p><p> signal z:std_logic_vector(3 downto 0);</p><p> signal w:std_logic_vector(3 downto 0);</p><p> signal k:std_logic_vector(
67、1 downto 0);</p><p> signal p:std_logic_vector(3 downto 0);</p><p><b> begin</b></p><p><b> x<=bcd1;</b></p><p><b> y<=bcd2;<
68、/b></p><p><b> z<=bcd3;</b></p><p><b> w<=bcd4;</b></p><p> process(clk)</p><p><b> begin</b></p><p> if(
69、clk'event and clk='1') then</p><p> k<=k+1;——由k跟據(jù)時鐘clk循環(huán)變換,這樣就可以利用k來片選數(shù)碼管循環(huán)點亮,當(dāng)clk頻率很高時,肉眼無法分辨出數(shù)碼管循環(huán)點亮,而看到的是全部點亮。</p><p><b> end if;</b></p><p> end
70、process;</p><p> process(k)</p><p><b> begin</b></p><p><b> case k is</b></p><p> when "00"=>p<=x; ——最右邊的數(shù)碼管顯示個位數(shù)字,即bcd1&
71、lt;/p><p> when "01"=>p<=y; ——右邊第二個數(shù)碼管顯示十位數(shù)字,即bcd2</p><p> when "10"=>p<=z; ——左邊第三個數(shù)碼管顯示百位數(shù)字,即bcd3</p><p> when "11"=>p<=w; ——
72、最左邊數(shù)碼管顯示千位數(shù)字,即bcd4</p><p> when others =>null; </p><p><b> end case;</b></p><p> end process;</p><p> process(p)
73、 ——數(shù)碼管譯碼顯示</p><p><b> begin</b></p><p> case p is </p><p> when "0000" =>q<="11111100"; ——顯示“0”</p><p> wh
74、en "0001" =>q<="01100000"; ——顯示“1”</p><p> when "0010" =>q<="11011010"; ——顯示“2”</p><p> when "0011&
75、quot; =>q<="11110010"; ——顯示“3”</p><p> when "0100" =>q<="01100110"; ——顯示“4”</p><p> when "0101" =>q&l
76、t;="10110110"; ——顯示“5”</p><p> when "0110" =>q<="10111110"; ——顯示“6”</p><p> when "0111" =>q<="11100
77、000"; ——顯示“7”when others =>null; </p><p><b> end case;</b></p><p> sel<=k; ——片選4個數(shù)碼哪一個亮</p><p> end pro
78、cess;</p><p><b> end a;</b></p><p><b> 3.4、仿真結(jié)果</b></p><p> 圖6 anjian模塊波形仿真圖</p><p> 圖7 xuanze模塊波形仿真圖</p><p> 圖8 總體電路波形仿真圖&
79、lt;/p><p> 從兩個模塊的仿真圖及總體仿真圖中可以看出,add按鍵和sub按鍵的邏輯是符合設(shè)計要求的,可以正常工作,實現(xiàn)加(減)一計數(shù)。Reset按鍵也能正確的復(fù)位。</p><p><b> 以下是管腳的分配:</b></p><p><b> 圖9 管腳分配圖</b></p><p>
80、; 3.5、實驗箱驗證情況</p><p><b> 驗證過程如下:</b></p><p> 將編寫好的程序編譯運(yùn)行并檢查無誤后下載到裝有一款EP1K30TC144—3N芯片的實驗箱,分配好各個管腳后再次驗證程序下載的是否完整,然后根據(jù)課程設(shè)計要求先按復(fù)位鍵,數(shù)碼管顯示“0000”,再連續(xù)按某一個按鍵如個位的加法計數(shù)按鍵,數(shù)碼管顯示從“0001”到“0007”
81、,若再按一下,則十位進(jìn)位,顯示“0010”。同理,其它位的進(jìn)(借)位加(減)法計數(shù)也一樣。這樣就實現(xiàn)利用了按鍵控制數(shù)碼管進(jìn)行四位八進(jìn)制數(shù)字加減計數(shù)的顯示。</p><p> 圖10 實驗驗證結(jié)果圖(顯示“0000”,即復(fù)位)</p><p> 圖11 實驗驗證結(jié)果圖(顯示“7401”)</p><p><b> 4、課程設(shè)計總結(jié) </b&g
82、t;</p><p> 通過本次課程設(shè)計,我對硬件電路設(shè)計中的一些基本要求和流程有了清晰地認(rèn)識和掌握,獨立地完成了具有一定要求的電路設(shè)計任務(wù)。我把這學(xué)期學(xué)的《可編程邏輯器件應(yīng)用》和《電子系統(tǒng)設(shè)計及應(yīng)用》兩門課的知識運(yùn)用到了實際問題中,加深了對所學(xué)知識的應(yīng)用,同時對電路軟件QuartusII的使用更加熟練了。</p><p> 在這次課程設(shè)計中,我發(fā)現(xiàn)了自己身上很多不足之處,首先還是缺乏
83、一定的專業(yè)技能知識,也不能將所學(xué)的內(nèi)容很好地利用到解決實際問題中。還有就是沒有足夠的耐心,幾次不成功就想放棄了。這些都需要我努力地去改正。</p><p> 此次設(shè)計讓我對設(shè)計工作有了全新的認(rèn)識——設(shè)計者必須有廣泛的基礎(chǔ)知識,縝密的思路以及認(rèn)真的工作態(tài)度和堅定的毅力。通過設(shè)計,我發(fā)現(xiàn)了自己的不足,找到了努力方向和改進(jìn)方向。同時更讓我認(rèn)識到理論和實踐必須相結(jié)合,通過理論指導(dǎo)實踐,在實踐中理解理論。</p&g
84、t;<p> 這次的課程設(shè)計基本上實現(xiàn)了任務(wù),但是由于按鍵存在抖動問題,因此還是會有一些誤差。因為本設(shè)計中用到了9個按鍵,就需要9段“消抖”程序來消除每一個按鍵輸入,這樣使仿真和運(yùn)行加大了復(fù)雜度和難度,而且“消抖”程序中具體的延時也不確定,很可能把有用信號都消除了,再加上我對“消抖”掌握得不夠,所以沒有加入“消抖”模塊。這個問題我還要努力去解決。</p><p> 在整個設(shè)計過程中,老師和同學(xué)們
85、的陪伴和指導(dǎo)給了我很大的幫助,在此我要對你們說聲謝謝!此次的課程設(shè)計我受益匪淺。</p><p><b> 5、參考文獻(xiàn)</b></p><p> (1)侯伯亨,顧新.VHDL硬件描述語言與數(shù)字邏輯電路設(shè)計. 西安:西安電子科技大學(xué)出版社,1999</p><p> ?。?)求是科技.CPLD/FPGA應(yīng)用開發(fā)技術(shù)與工程實踐. 北京:人民郵
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 利用按鍵開關(guān)控制米字管進(jìn)行十進(jìn)制數(shù)字顯示
- 數(shù)碼管按鍵顯示
- 4位dip開關(guān)控制數(shù)碼管顯示系統(tǒng)設(shè)計課程設(shè)計
- 數(shù)碼管顯示課程設(shè)計報告
- plc數(shù)碼管顯示課程設(shè)計
- 數(shù)碼管學(xué)號滾動顯示課程設(shè)計
- 數(shù)碼管時鐘顯示按鍵調(diào)時間
- 數(shù)碼管顯示控制器 數(shù)字電子技術(shù)課程設(shè)計
- 課程設(shè)計---單只數(shù)碼管循環(huán)顯示設(shè)計
- plc課程設(shè)計---七段數(shù)碼管數(shù)字顯示
- eda技術(shù)課程設(shè)計--數(shù)碼管顯示數(shù)字鐘設(shè)計
- 兩位數(shù)碼管顯示課程設(shè)計
- 單片機(jī)控制數(shù)碼管顯示數(shù)字
- 兩位數(shù)碼管顯示設(shè)計-課程設(shè)計
- 微機(jī)原理課程設(shè)計--8個開關(guān)控制霓虹燈閃爍,有數(shù)碼管顯示
- 單片機(jī)原理及應(yīng)用課程設(shè)計--單只數(shù)碼管顯示數(shù)字
- led數(shù)碼管顯示控制
- 數(shù)字電子技術(shù)數(shù)碼管課程設(shè)計---數(shù)碼管設(shè)計及原理分析
- 利用鍵盤開關(guān)控制液晶顯示器進(jìn)行十六進(jìn)制數(shù)字顯示
- pic課程設(shè)計--多位數(shù)碼管循環(huán)顯示
評論
0/150
提交評論